位置:51电子网 » 技术资料 » EDA/PLD

CoolRunner-II器件的使用时钟分频器

发布时间:2008/9/17 0:00:00 访问次数:617

  coolrunner-ii器件在xc2c128(128个宏单元)以上的器件内嵌入了一个时钟分频器模块,该模块具有两个控制输入脚,即gck2(全局时钟输入脚)和cdrst(外部同步复位脚);两个延迟控制位用于设置当复位信号撤销后,是否需要延迟后输出分频信号。时钟分频系数η为2、 4、 6、 8、 10、 12、 14和16。
  ise 10设计工具中的xst综合工具可以自动地推论以下分频模块库。
  (1)clk_divn:不带复位和延迟控制的分频器(η为2、4、6、8、10、12、14和16)。
  (2)clk_divnr:带复位,但没有起始延迟控制的分频器。
  (3)clk_divnsd:没有复位控制,但需要进行起始延迟控制的分频器。
  (4)clk_divnrsd:包括复位和起始延迟控制的分频器。
  例1:vhdl语言。
  (1)2分频(没有复位和起始延迟控制)。
  component clk_div2 is
port (clikin : in std_logic;
clkdv : out stu logic);
and component;

ui: clk_div2 port map(clkin => clk、
clkdv => clk_div_by_2);
(2)16分频(复位和带有起始延迟控制)。
component clk_div16rsd is
port (clkin : in std_logic;
  
  cdrst : in std_logic;
  clkdv : out std_logic);
end component;

  u1:clk_div16rsd port map (clkin => clk,
cdrst => clk_div_rst,
clkdv => clk_div_dy_16);

  例2: verilog语言。
  clk_div16rsd u1(
. clkin (clk)、
. cdrst (clk_div_rst)、
.clkdv (clk_div_by_16)
);

  欢迎转载,信息来自维库电子市场网(www.dzsc.com)



  coolrunner-ii器件在xc2c128(128个宏单元)以上的器件内嵌入了一个时钟分频器模块,该模块具有两个控制输入脚,即gck2(全局时钟输入脚)和cdrst(外部同步复位脚);两个延迟控制位用于设置当复位信号撤销后,是否需要延迟后输出分频信号。时钟分频系数η为2、 4、 6、 8、 10、 12、 14和16。
  ise 10设计工具中的xst综合工具可以自动地推论以下分频模块库。
  (1)clk_divn:不带复位和延迟控制的分频器(η为2、4、6、8、10、12、14和16)。
  (2)clk_divnr:带复位,但没有起始延迟控制的分频器。
  (3)clk_divnsd:没有复位控制,但需要进行起始延迟控制的分频器。
  (4)clk_divnrsd:包括复位和起始延迟控制的分频器。
  例1:vhdl语言。
  (1)2分频(没有复位和起始延迟控制)。
  component clk_div2 is
port (clikin : in std_logic;
clkdv : out stu logic);
and component;

ui: clk_div2 port map(clkin => clk、
clkdv => clk_div_by_2);
(2)16分频(复位和带有起始延迟控制)。
component clk_div16rsd is
port (clkin : in std_logic;
  
  cdrst : in std_logic;
  clkdv : out std_logic);
end component;

  u1:clk_div16rsd port map (clkin => clk,
cdrst => clk_div_rst,
clkdv => clk_div_dy_16);

  例2: verilog语言。
  clk_div16rsd u1(
. clkin (clk)、
. cdrst (clk_div_rst)、
.clkdv (clk_div_by_16)
);

  欢迎转载,信息来自维库电子市场网(www.dzsc.com)



相关IC型号

热门点击

 

推荐技术资料

声道前级设计特点
    与通常的Hi-Fi前级不同,EP9307-CRZ这台分... [详细]
版权所有:51dzw.COM
深圳服务热线:13751165337  13692101218
粤ICP备09112631号-6(miitbeian.gov.cn)
公网安备44030402000607
深圳市碧威特网络技术有限公司
付款方式


 复制成功!