位置:51电子网 » 技术资料 » 单 片 机

属性、时钟的表示

发布时间:2018/9/17 12:01:42 访问次数:1037

    属性、时钟的表示EEFCX0J151YR

   属性指的是关于实体、结构体、类型、信号的一些特征。有些属性对综合(设计)非常有用,如:

   ①值类属性:分为’left,’right,’low, 'high,’length。其中用符号“’’’隔开对象名及其属性。left表示类型最左边的值;right表示类型最右边的值;low表示类型中最小的值;high表示类型中最大的值;length表示限定型数组中元素的个数。

   例:sdown  :IN STD_LOGIC_VECTOR(8 DOWNT0 0);

   sup    :IN STD_LOGIC_VECTOR(O T0 8);

   则这两个信号的各属性值如下:

   sdown'Ieft=8; sdowdright=0; sdown'Iow=0; sdown'high=8; sdown'Iength=9;

   sup'Ieft=0; sup'right=8; sup'Iow=0; sup'Iugh=8; sup'Iength=9;

   ③信号类属性:这里仅介绍一个对综合及模拟都很有用的信号类属性:’EVENT。它的值为布尔型,如果刚好有事件发生在该属性所附着的信号上(即信号有变化),则其取值为Ture,否则为False。用它可决定时钟边沿是否有效。即时钟是否发生。

    属性、时钟的表示EEFCX0J151YR

   属性指的是关于实体、结构体、类型、信号的一些特征。有些属性对综合(设计)非常有用,如:

   ①值类属性:分为’left,’right,’low, 'high,’length。其中用符号“’’’隔开对象名及其属性。left表示类型最左边的值;right表示类型最右边的值;low表示类型中最小的值;high表示类型中最大的值;length表示限定型数组中元素的个数。

   例:sdown  :IN STD_LOGIC_VECTOR(8 DOWNT0 0);

   sup    :IN STD_LOGIC_VECTOR(O T0 8);

   则这两个信号的各属性值如下:

   sdown'Ieft=8; sdowdright=0; sdown'Iow=0; sdown'high=8; sdown'Iength=9;

   sup'Ieft=0; sup'right=8; sup'Iow=0; sup'Iugh=8; sup'Iength=9;

   ③信号类属性:这里仅介绍一个对综合及模拟都很有用的信号类属性:’EVENT。它的值为布尔型,如果刚好有事件发生在该属性所附着的信号上(即信号有变化),则其取值为Ture,否则为False。用它可决定时钟边沿是否有效。即时钟是否发生。

相关技术资料
9-17属性、时钟的表示

热门点击

 

推荐技术资料

硬盘式MP3播放器终级改
    一次偶然的机会我结识了NE0 2511,那是一个远方的... [详细]
版权所有:51dzw.COM
深圳服务热线:13751165337  13692101218
粤ICP备09112631号-6(miitbeian.gov.cn)
公网安备44030402000607
深圳市碧威特网络技术有限公司
付款方式