位置:51电子网 » 技术资料 » EDA/PLD

用EDA设计LED汉字滚动显示器

发布时间:2007/9/11 0:00:00 访问次数:732

    摘要:本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。

    关键词:虚拟器件 VHDL语言及应用 EDA技术

采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB(印制电路板)板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。

    随着电子设计自动化(EDA)技术的进展,基于可编程ASIC器件的数字电子系统设计的完整方案越来越受到人们的重视,并且以EDA技术为核心、的能在可编程ASIC器件上进行系统芯片集成的新设计方法,也正在快速地取代基于PCB板的传统设计方式。

    如何使用EDA工具设计电子系统是人们普遍关心的问题。在EDA工具软件MAX+PLUSⅡ的支持下,本设计通过了编译、适配和软件仿真验证。最后用载入真实实验系统的硬件实验证明了它的正确性。

硬件设计

   
为了简化讨论,本文只研究在单个8×8 LED 发光二极管点阵上滚动显示多汉字信息的问题。

    图1给出的就是一个使用美国ALTERA公司10K系列FPGA设计的可支持滚动显示多汉字信息的通用硬件电路。

    在图中,标准JTAG接口与PC机并口相连,用于下载设计数据至FPGA中;LED点阵的data线由FPGA的I/O线串300Ω限流电阻后驱动,而sw线直接由FPGA的I/O线驱动。LED点阵采用扫描式工作方式,控制sw线的循环扫描信号为低电平有效,显示数据data为高电平有效。

    由于ALTERA的10K10LC84-4芯片内部有足够的RAM资源,所以本设计没有采用外部RAM。

    另外,图中的配置EPROM为可选件,只有当要求汉字滚动显示器脱机运行时才需要采用。

滚动显示多汉字信息的原理

   
为在8×8 LED 发光二极管点阵上显示汉字,首先要把汉字表示成为如图2所示的8×8像素点图。

    在图2中,data0~data7分别为按列抽取依序排列的汉字像素信息,均具有8位字长。

    然后,依次对多汉字抽取像素信息,并按序排队存放于ROM之中,便可得到一个待显示数据序列。进一步通过寻址的方法来控制该数据序列的释放过程,就可实现在8×8 LED 发光二极管点阵上滚动显示多汉字信息的目的。图3给出的就是实现滚动显示多汉字信息的原理示意图。

    由图3可知,某一时刻能在显示数据序列中定位待显示数据的地址指针可用下式计算:

    addr=n+m    (1)

    显然,n的取值范围应为0~N,并由它控制汉字显示的滚动速度;m的取值范围应为0~7,并由它决定LED点阵的列开关sw的扫描速度。因此,控制n扫遍全部待显示数据的时间,可调节汉字信息的滚动速度;改变m的重复扫描周期,可改善在LED点阵上显示完整汉字信息的稳定性。

    摘要:本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。

    关键词:虚拟器件 VHDL语言及应用 EDA技术

采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB(印制电路板)板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。

    随着电子设计自动化(EDA)技术的进展,基于可编程ASIC器件的数字电子系统设计的完整方案越来越受到人们的重视,并且以EDA技术为核心、的能在可编程ASIC器件上进行系统芯片集成的新设计方法,也正在快速地取代基于PCB板的传统设计方式。

    如何使用EDA工具设计电子系统是人们普遍关心的问题。在EDA工具软件MAX+PLUSⅡ的支持下,本设计通过了编译、适配和软件仿真验证。最后用载入真实实验系统的硬件实验证明了它的正确性。

硬件设计

   
为了简化讨论,本文只研究在单个8×8 LED 发光二极管点阵上滚动显示多汉字信息的问题。

    图1给出的就是一个使用美国ALTERA公司10K系列FPGA设计的可支持滚动显示多汉字信息的通用硬件电路。

    在图中,标准JTAG接口与PC机并口相连,用于下载设计数据至FPGA中;LED点阵的data线由FPGA的I/O线串300Ω限流电阻后驱动,而sw线直接由FPGA的I/O线驱动。LED点阵采用扫描式工作方式,控制sw线的循环扫描信号为低电平有效,显示数据data为高电平有效。

    由于ALTERA的10K10LC84-4芯片内部有足够的RAM资源,所以本设计没有采用外部RAM。

    另外,图中的配置EPROM为可选件,只有当要求汉字滚动显示器脱机运行时才需要采用。

滚动显示多汉字信息的原理

   
为在8×8 LED 发光二极管点阵上显示汉字,首先要把汉字表示成为如图2所示的8×8像素点图。

    在图2中,data0~data7分别为按列抽取依序排列的汉字像素信息,均具有8位字长。

    然后,依次对多汉字抽取像素信息,并按序排队存放于ROM之中,便可得到一个待显示数据序列。进一步通过寻址的方法来控制该数据序列的释放过程,就可实现在8×8 LED 发光二极管点阵上滚动显示多汉字信息的目的。图3给出的就是实现滚动显示多汉字信息的原理示意图。

    由图3可知,某一时刻能在显示数据序列中定位待显示数据的地址指针可用下式计算:

    addr=n+m    (1)

    显然,n的取值范围应为0~N,并由它控制汉字显示的滚动速度;m的取值范围应为0~7,并由它决定LED点阵的列开关sw的扫描速度。因此,控制n扫遍全部待显示数据的时间,可调节汉字信息的滚动速度;改变m的重复扫描周期,可改善在LED点阵上显示完整汉字信息的稳定性。

-->
相关IC型号

Warning: Undefined variable $stockkeys in G:\website_51dzw\www.51dzw.com\code\tech\view.php on line 152

热门点击

 

推荐技术资料

声道前级设计特点
    与通常的Hi-Fi前级不同,EP9307-CRZ这台分... [详细]
版权所有:51dzw.COM
深圳服务热线:13751165337  13692101218
粤ICP备09112631号-6(miitbeian.gov.cn)
公网安备44030402000607
深圳市碧威特网络技术有限公司
付款方式